Martin Schoeberl

Professor

DTU Compute
Department of Applied Mathematics and Computer Science
Technical University of Denmark
Richard Petersens Plads
Building 322, room 128
2800 Lyngby
Denmark

Phone +45 45253743
Email masca@dtu.dk
Entry at DTU Compute

The Chisel Book

The second edition of Digital Design with Chisel is out!

Research Interest

Research Projects

Open-Source Projects

Teaching

Master's, Bachelor's, and Project Topics

Publications

Publication list and profile on Google Scholar

Books

Theses

Book Chapter

Journal Articles

2021

  1. Emad Jacob Maroun, Martin Schoeberl, and Peter Puschner. Compiling for time-predictability with dual-issue single-path code.
    Journal of Systems Architecture, 118:1-11, 2021. (pdf)

2020

  1. Eleftherios Kyriakakis, Maja Lund, Luca Pezzarossa, Jens Sparsø, and Martin Schoeberl. A time-predictable open-source TTEthernet end-system.
    Journal of Systems Architecture, 108:101744, 2020. (doi, pdf)

2019

  1. Morten B. Petersen, Anthon V. Riber, Simon T. Andersen, and Martin Schoeberl. Time-predictable Distributed Shared On-Chip Memory.
    Microprocessors and Microsystems, 2019. (doi, pdf)

  2. Tórur Biskopstø Strøm, Jens Sparsø, and Martin Schoeberl. Hardlock: Real-time multicore locking.
    Journal of Systems Architecture, 97:467-476, 2019. (doi, pdf)

  3. Emad Jacob Maroun, Henrik Enggaard Hansen, Andreas Toftegaard Kristensen, and Martin Schoeberl. Time-predictable synchronization support with a shared scratchpad memory.
    Microprocessors and Microsystems, 64:34-42, 2019. (doi, pdf)

2018

  1. Martin Schoeberl, Wolfgang Puffitsch, Stefan Hepp, Benedikt Huber, and Daniel Prokesch. Patmos: A Time-predictable Microprocessor.
    Real-Time Systems, 54(2):389-423, 2018. (doi, pdf)

  2. Luca Pezzarossa, Andreas Toftegaard Kristensen, Martin Schoeberl, and Jens Sparsø. Using Dynamic Partial Reconfiguration of FPGAs in Real-Time Systems.
    Microprocessors and Microsystems, 61:198-206, 2018. (doi, pdf)

  3. Martin Schoeberl, Luca Pezzarossa, and Jens Sparsø. A Multicore Processor for Time-Critical Applications.
    IEEE Design Test, 35:38-47, 2018. (doi, pdf)

  4. Rasmus Ulslev Pedersen and Martin Schoeberl. Direct garbage collection: two-fold speedup for managed language embedded systems.
    International Journal of Embedded Systems, 10(5):394-405, 2018. (pdf)

2017

  1. Martin Schoeberl, Andreas Engelbredt Dalsgaard, Rene Rydhof Hansen, Stephan E. Korsholm, Anders P. Ravn, Juan Ricardo Rios Rivas, Torur Biskopstø Strøm, Hans Søndergaard, Andy Wellings, and Shuai Zhao. Safety-critical Java for embedded systems.
    Concurrency and Computation: Practice and Experience, 29(22), 2017. (doi, pdf)

  2. Tórur Biskopstø Strøm, Wolfgang Puffitsch, and Martin Schoeberl. Hardware Locks for a Real-Time Java Chip-Multiprocessor.
    Concurrency and Computation: Practice and Experience, 29(6):e3950-n/a, 2017. (doi, pdf)

  3. Rasmus Bo Sørensen, Luca Pezzarossa, Martin Schoeberl, and Jens Sparsø. A resource-efficient network interface supporting low latency reconfiguration of virtual circuits in time-division multiplexing networks-on-chip.
    Journal of Systems Architecture, 74(Supplement C):1-13, 2017. (doi, pdf)

2016

  1. Evangelia Kasapaki, Martin Schoeberl, Rasmus Bo Sørensen, Christian T. Müller, Kees Goossens, and Jens Sparsø. Argo: A Real-Time Network-on-Chip Architecture with an Efficient GALS Implementation.
    IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24:479-492, 2016. (doi, pdf)

2015

  1. Martin Schoeberl, Sahar Abbaspour, Benny Akesson, Neil Audsley, Raffaele Capasso, Jamie Garside, Kees Goossens, Sven Goossens, Scott Hansen, Reinhold Heckmann, Stefan Hepp, Benedikt Huber, Alexander Jordan, Evangelia Kasapaki, Jens Knoop, Yonghui Li, Daniel Prokesch, Wolfgang Puffitsch, Peter Puschner, André Rocha, Cláudio Silva, Jens Sparsø, and Alessandro Tocchi. T-CREST: Time-predictable Multi-Core Architecture for Embedded Systems.
    Journal of Systems Architecture, 61(9):449-471, 2015. (doi, pdf)

2014

    2013

    1. Martin Schoeberl, Benedikt Huber, and Wolfgang Puffitsch. Data cache organization for accurate timing analysis.
      Real-Time Systems, 49(1):1-28, 2013. (doi, pdf)

    2. Flavius Gruian and Martin Schoeberl. Hardware Support for CSP on a Java Chip-Multiprocessor.
      Microprocessors and Microsystems, 37(4-5):472-481, 2013. (doi, pdf)

    2012

    1. Trevor Harmon, Martin Schoeberl, Raimund Kirner, Raymond Klefstad, K.H. (Kane) Kim, and Michael R. Lowry. Fast, Interactive Worst-Case Execution Time Analysis with Back-Annotation.
      IEEE Transactions on Industrial Informatics, 8:366-377, 2012. (doi, pdf)

    2. Fadi Meawad, Karthik Iyer, Martin Schoeberl, and Jan Vitek. Micro-transactions for concurrent data structures.
      Concurrency and Computation: Practice and Experience, 2012. (doi, pdf)

    3. Benedikt Huber, Wolfgang Puffitsch, and Martin Schoeberl. Worst-case execution time analysis driven object cache design.
      Concurrency and Computation: Practice and Experience, 24(8):753-771, 2012. (doi, pdf)

    4. Anders P. Ravn and Martin Schoeberl. Safety-Critical Java with Cyclic Executives on Chip-Multiprocessors.
      Concurrency and Computation: Practice and Experience, 24:772-788, 2012. (doi, pdf)

    2011

    1. Martin Schoeberl, Stephan Korsholm, Tomas Kalibera, and Anders P. Ravn. A Hardware Abstraction Layer in Java.
      ACM Trans. Embed. Comput. Syst., 10(4):42:1-42:40, 2011. (doi, pdf)

    2010

    1. Christof Pitter and Martin Schoeberl. A Real-Time Java Chip-Multiprocessor.
      ACM Trans. Embed. Comput. Syst., 10(1):9:1-34, 2010. (doi, pdf)

    2. Martin Schoeberl and Wolfgang Puffitsch. Nonblocking real-time garbage collection.
      ACM Trans. Embed. Comput. Syst., 10(1):6:1-28, 2010. (doi, pdf)

    3. Martin Schoeberl. Scheduling of Hard Real-Time Garbage Collection.
      Real-Time Systems, 45(3):176-213, 2010. (doi, pdf)

    4. Martin Schoeberl, Wolfgang Puffitsch, Rasmus Ulslev Pedersen, and Benedikt Huber. Worst-case execution time analysis for a Java processor.
      Software: Practice and Experience, 40/6:507-542, 2010. (doi, pdf)

    2009

    1. Martin Schoeberl. Time-predictable Computer Architecture.
      EURASIP Journal on Embedded Systems, vol. 2009, Article ID 758480:17 pages, 2009. (doi, pdf)

    2. Walter Binder, Martin Schoeberl, Philippe Moret, and Alex Villazon. Cross-profiling for Java processors.
      Software: Practice and Experience, 39/18:1439-1465, 2009. (doi, pdf)

    2008

    1. Martin Schoeberl. A Java Processor Architecture for Embedded Real-Time Systems.
      Journal of Systems Architecture, 54/1--2:265-286, 2008. (doi, pdf)

    Reviewed Conference and Workshop Papers

    2021

    1. Patrick Denzler, Thomas Frühwirth, Andreas Kirchberger, Martin Schoeberl, and Wolfgang Kastner. Static Timing Analysis of OPC UA PubSub.
      2021 17th IEEE International Conference on Factory Communication Systems (WFCS), 167-174, 2021. (doi, pdf)

    2. Patrick Denzler, Thomas Frühwirth, Andreas Kirchberger, Martin Schoeberl, and Wolfgang Kastner. Experiences from Adjusting Industrial Software for Worst-Case Execution Time Analysis.
      2021 IEEE 24th International Symposium on Real-Time Distributed Computing (ISORC), 62-70, 2021. (doi, pdf)

    3. Eleftherios Kyriakakis, Jens Sparsø, and Martin Schoeberl. Evaluating a Time-Triggered Runtime System by Distributing a Flight Controller.
      Proceedsings of the 26th International Conference on Emerging Tech- nologies and Factory Automation (ETFA), 2021.

    4. Eleftherios Kyriakakis, Jens Sparsø, Peter Puschner, and Martin Schoeberl. Synchronizing Real-Time Tasks in Time-Triggered Networks.
      24th International Symposium On Real-Time Distributed Computing (ISORC), 2021. (pdf)

    5. Eleftherios Kyriakakis, Koen Tange, Niklas Reusch, Eder Ollora Zaballa, Xenofon Fafoutis, Martin Schoeberl, and Nicola Dragoni. Fault-tolerant Clock Synchronization using Precise Time Protocol Multi-Domain Aggregation.
      2021 IEEE 24th International Symposium on Real-Time Distributed Computing (ISORC), 114-122, 2021. (doi, pdf)

    2020

    1. Mathieu Jan, Mihail Asavoae, Martin Schoeberl, and Edward A. Lee. Formal Semantics of Predictable Pipelines: a Comparative Study.
      TODO: ASP-DAC, January, 2020. (pdf)

    2. Martin Schoeberl, Simon Thye Andersen, Kasper Juul Hesse Rasmussen, and Richard Lin. Towards an Open-Source Verification Method with Chisel and Scala.
      Proceedings of the Third Workshop on Open-Source EDA Technology (WOSET), 2020.

    3. Emad Jacob Maroun, Martin Schoeberl, and Peter Puschner. Towards Dual-Issue Single-Path Code.
      2020 IEEE 23rd International Symposium on Real-Time Distributed Computing (ISORC), 176-183, 2020. (pdf)

    4. Eleftherios Kyriakakis, Jens Sparsø, Peter Puschner, and Martin Schoeberl. Synchronizing Real-Time Tasks in Time-Aware Networks: Work-in-Progress.
      2020 International Conference on Embedded Software (EMSOFT), 15-17, 2020. (pdf)

    2019

    1. Eleftherios Kyriakakis, Jens Sparsø, and Martin Schoeberl. InterNoC: Unified Deterministic Communication For Distributed NoC-based Many-Core.
      13th Junior Researcher Workshop on Real-Time Computing, November, 2019. (pdf)

    2. Mihail Asavoae, Imane Haur, Mathieu Jan, Belgacem Ben Hedia, and Martin Schoeberl. Towards Formal Co-validation of Hardware and Software Timing Models of CPS.
      Model-Based Design of Cyber Physical Systems (CyPhy'19), October, 2019. (pdf)

    3. Martin Schoeberl. Multicore Models of Communication for Cyber-Physical Systems.
      Model-Based Design of Cyber Physical Systems (CyPhy'19), October, 2019. (pdf)

    4. Martin Schoeberl, Luca Pezzarossa, and Jens Sparsø. S4NOC: a Minimalistic Network-on-Chip for Real-Time Multicores.
      Proceedings of the 12th International Workshop on Network on Chip Architectures, 8:1-8:6, October, 2019. (doi, pdf)

    5. Marten Lohstroh, Martin Schoeberl, Mathieu Jan, Edward Wang, and Edward A. Lee. Programs with Ironclad Timing Guarantees: Work-in-progress.
      Proceedings of the International Conference on Embedded Software Companion, 1:1-1:2, New York, New York, October, 2019. (doi, pdf)

    6. Marten Lohstroh, Martin Schoeberl, Andrés Goens, Armin Wasicek, Christopher Gill, Marjan Sirjani, and Edward A. Lee. Actors Revisited for Time-Critical Systems.
      Proceedings of the 56th Annual Design Automation Conference 2019, 152:1-152:4, Las Vegas, NV, USA, June, 2019. (doi, pdf)

    7. Martin Schoeberl, Luca Pezzarossa, and Jens Sparsø. A Minimal Network Interface for a Simple Network-on-Chip.
      Architecture of Computing Systems - ARCS 2019, 295-307, May, 2019. (pdf)

    8. Oktay Baris, Shibarchi Majumder, Tórur Biskopstø Strøm, Anders la Cour-Harbo, Jens Sparsø, Thomas Bak, and Martin Schoeberl. Demonstration of a Time-predictable Flight Controller on a Multicore Processor.
      Proceedings of the 22nd IEEE International Symposium on Real-time Computing (ISORC), 95-96, May, 2019. (doi, pdf)

    9. Martin Schoeberl and {Morten Borup} Petersen. Leros: The return of the accumulator machine.
      Architecture of Computing Systems - ARCS 2019 - 32nd International Conference, Proceedings, 115-127, May, 2019. (pdf)

    10. Maja Lund, Luca Pezzarossa, Jens Sparsø, and Martin Schoeberl. A Time-predictable TTEthernet Node.
      2019 IEEE 22nd International Symposium on Real-Time Computing (ISORC), 229-233, May, 2019. (doi, pdf)

    11. Martin Schoeberl, Benjamin Rouxel, and Isabelle Puaut. A Time-predictable Branch Predictor.
      Proceedings of the 34th ACM/SIGAPP Symposium on Applied Computing, 607-616, Limassol, Cyprus, April, 2019. (doi, pdf)

    12. Martin Schoeberl, Tórur Biskopstø Strøm, Oktay Baris, and Jens Sparsø. Scratchpad Memories with Ownership.
      2019 Design, Automation and Test in Europe Conference Exhibition (DATE), 1216-1221, March, 2019. (doi, pdf)

    13. Christos Gkiokas and Martin Schoeberl. A Fault-Tolerant Time-Predictable Processor.
      2019 IEEE Nordic Circuits and Systems Conference (NORCAS): NORCHIP and International Symposium of System-on-Chip (SoC), 1-6, 2019. (doi, pdf)

    14. Eleftherios Kyriakakis, Jens Sparsø, and Martin Schoeberl. Implementing time-triggered communication over a standard ethernet switch.
      Proceedings of the Fog-IoT Workshop 2019, 21-25, 2019. (doi, pdf)

    2018

    1. Morten B. Petersen, Anthon V. Riber, Simon T. Andersen, and Martin Schoeberl. Time-Predictable Distributed Shared Memory for Multi-Core Processors.
      2018 IEEE Nordic Circuits and Systems Conference (NORCAS): NORCHIP and International Symposium of System-on-Chip (SoC), 1-7, October, 2018. (doi, pdf)

    2. Hammond Pearce, Partha Roop, Morteza Biglari-Abhari, and Martin Schoeberl. Faster Function Blocks for Precision Timed Industrial Automation.
      2018 IEEE 21st International Symposium on Real-Time Distributed Computing (ISORC), 67-74, May, 2018. (doi, pdf)

    3. Martin Schoeberl and Rasmus Ulslev Pedersen. tpIP: A Time-Predictable TCP/IP Stack for Cyber-Physical Systems.
      2018 IEEE 21st International Symposium on Real-Time Distributed Computing (ISORC), 75-82, May, 2018. (doi, pdf)

    4. Tórur Biskopstø Strøm and Martin Schoeberl. Hardlock: A Concurrent Real-Time Multicore Locking Unit.
      2018 IEEE 21st International Symposium on Real-Time Distributed Computing (ISORC), 9-16, May, 2018. (doi, pdf)

    5. Martin Schoeberl. Design of a Time-predictable Multicore Processor: The T-CREST Project.
      2018 Design, Automation Test in Europe Conference Exhibition (DATE), 909-912, March, 2018. (doi, pdf)

    6. Martin Schoeberl. One-Way Shared Memory.
      2018 Design, Automation and Test in Europe Conference Exhibition (DATE), 269-272, March, 2018. (doi, pdf)

    7. Eleftherios Kyriakakis, Jens Sparsø, and Martin Schoeberl. Hardware Assisted Clock Synchronization with the IEEE 1588-2008 Precision Time Protocol.
      Proceedings of the 26th International Conference on Real-Time Networks and Systems, 51-60, 2018. (pdf)

    8. Martin Schoeberl. Lipsi: Probably the Smallest Processor in the World.
      Architecture of Computing Systems -- ARCS 2018, 18-30, 2018. (doi, pdf)

    2017

    1. Henrik Enggaard Hansen, Emad Jacob Maroun, Andreas Toftegaard Kristensen, Jimmi Marquart, and Martin Schoeberl. A Shared Scratchpad Memory with Synchronization Support.
      2017 IEEE Nordic Circuits and Systems Conference (NORCAS): NORCHIP and International Symposium of System-on-Chip (SoC), 1-6, October, 2017. (doi, pdf)

    2. Martin Schoeberl and Jens Sparsø. Timing Organization of a Real-Time Multicore Processor.
      2017 New Generation of CAS (NGCAS), 89-92, September, 2017. (doi, pdf)

    3. Bekim Cilku, Wolfgang Puffitsch, Daniel Prokesch, Martin Schoeberl, and Peter Puschner. Improving Performance of Single-path Code Through a Time-predictable Memory Hierarchy.
      Proceedings of the 20th IEEE International Symposium on Real-Time Distributed Computing (ISORC 2017), 76-83, May, 2017. (doi, pdf)

    4. Luca Pezzarossa, Martin Schoeberl, and Jens Sparsø. A Controller for Dynamic Partial Reconfiguration in FPGA-Based Real-Time Systems.
      2017 IEEE 20th International Symposium on Real-Time Distributed Computing (ISORC), 92-100, May, 2017. (doi, pdf)

    5. Daniel Sanz Ausin, Luca Pezzarossa, and Martin Schoeberl. Real-Time Audio Processing on the T-CREST Multicore Platform.
      2017 IEEE 11th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), 2017. (pdf)

    6. Luca Pezzarossa, Andreas Toftegaard Kristensen, Martin Schoeberl, and Jens Sparsø. Can Real-Time Systems Benefit from Dynamic Partial Reconfiguration?.
      2017 IEEE Nordic Circuits and Systems Conference (NORCAS): NORCHIP and International Symposium of System-on-Chip (SoC), 2017. (pdf)

    7. Martin Schoeberl, Bekim Cilku, Daniel Prokesch, and Peter Puschner. Best Practice for Caching of Single-Path Code.
      17th International Workshop on Worst-Case Execution Time Analysis (WCET 2017), 1-12, 2017. (doi, pdf)

    2016

    1. Alexander Jordan, Sahar Abbaspour, and Martin Schoeberl. A Software Managed Stack Cache for Real-Time Systems.
      Proceedings of the 24th International Conference on Real-Time Networks and Systems (RTNS 2016), 319-326, October, 2016. (doi, pdf)

    2. Rasmus Bo Sørensen, Martin Schoeberl, and Jens Sparsø. State-based Communication on Time-predictable Multicore Processors.
      Proceedings of the 24th International Conference on Real-Time Networks and Systems (RTNS 2016), 225-234, October, 2016. (doi, pdf)

    3. Florian Kluge, Martin Schoeberl, and Theo Ungerer. Support for the Logical Execution Time Model on a Time-predictable Multicore Processor.
      14th International Workshop on Real-Time Networks, July, 2016. (pdf)

    4. Luca Pezzarossa, Martin Schoeberl, and Jens Sparsø. Reconfiguration in FPGA-based multi-core platforms for hard real-time applications.
      11th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC 2016), 1-8, June, 2016. (doi, pdf)

    5. Martin Schoeberl and Carsten Nielsen. A Stack Cache for Real-Time Systems.
      Proceedings of the 19th IEEE Symposium on Real-time Distributed Computing (ISORC 2016), 150-157, May, 2016. (doi, pdf)

    6. Wolfgang Puffitsch and Martin Schoeberl. Time-Predictable Virtual Memory.
      Proceedings of the 19th IEEE Symposium on Real-time Distributed Computing (ISORC 2016), 158-165, May, 2016. (doi, pdf)

    7. Martin Schoeberl. Lessons learned from the EU project T-CREST.
      Design, Automation Test in Europe Conference Exhibition (DATE 2016), 870-875, March, 2016. (pdf)

    8. André Rocha, Cláudio Silva, Rasmus Bo Sørensen, Jens Sparsø, and Martin Schoeberl. Avionics Applications on a Time-Predictable Chip-Multiprocessor.
      24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP 2016), 777-785, February, 2016. (doi, pdf)

    9. Heiko Falk, Sebastian Altmeyer, Peter Hellinckx, Björn Lisper, Wolfgang Puffitsch, Christine Rochange, Martin Schoeberl, Rasmus Bo Sørensen, Peter Wägemann, and Simon Wegener. TACLeBench: A Benchmark Collection to Support Worst-Case Execution Time Research.
      16th International Workshop on Worst-Case Execution Time Analysis (WCET 2016), 2:1-2:10, 2016. (doi, pdf)

    2015

    1. Wolfgang Puffitsch, Rasmus Bo Sørensen, and Martin Schoeberl. Time-Division Multiplexing vs Network Calculus: A Comparison.
      Proceedings of the 23th International Conference on Real-Time and Network Systems (RTNS 2015), November, 2015. (doi, pdf)

    2. Luca Pezzarossa, Rasmus Bo Sørensen, Martin Schoeberl, and Jens Sparsø. Interfacing Hardware Accelerators to a Time-Division Multiplexing Network-on-Chip.
      Proc. of the 1st Nordic Circuits and Systems Conference (NORCAS 2015), October, 2015. (doi, pdf)

    3. Stephan E. Korsholm, Martin Schoeberl, and Wolfgang Puffitsch. Safety-Critical Java on a Time-Predictable Processor.
      Proceedings of the 13th International Workshop on Java Technologies for Real-Time and Embedded Systems (JTRES 2015), October, 2015. (doi, pdf)

    4. Tórur Biskopstø Strøm and Martin Schoeberl. Multiprocessor Priority Ceiling Emulation for Safety-Critical Java.
      Proceedings of the 13th International Workshop on Java Technologies for Real-Time and Embedded Systems (JTRES 2015), October, 2015. (doi, pdf)

    5. Martin Schoeberl. Scala for Real-Time Systems?.
      Proceedings of the 13th International Workshop on Java Technologies for Real-Time and Embedded Systems (JTRES 2015), October, 2015. (doi, pdf)

    6. Carsten Nielsen and Martin Schoeberl. Stack Caching Using Split Data Caches.
      Proceedings of the 11th Workshop on Software Technologies for Embedded and Ubiquitous Systems (SEUS 2015), 36-43, April, 2015. (doi, pdf)

    7. Tórur Biskopstø Strøm and Martin Schoeberl. Hardware Locks with Priority Ceiling Emulation for a Java Chip-Multiprocessor.
      Proceedings of the 17th IEEE Symposium on Real-time Distributed Computing (ISORC 2015), 268-271, April, 2015. (doi, pdf)

    8. Marco Ziccardi, Martin Schoeberl, and Tullio Vardanega. A Time-Composable Operating System for the Patmos Processor.
      The 30th ACM/SIGAPP Symposium On Applied Computing, Embedded Systems Track, April, 2015. (pdf)

    9. Rasmus Bo Sørensen, Wolfgang Puffitsch, Martin Schoeberl, and Jens Sparsø. Message Passing on a Time-predictable Multicore Processor.
      Proceedings of the 17th IEEE Symposium on Real-time Distributed Computing (ISORC 2015), 51-59, April, 2015. (doi, pdf)

    10. Martin Schoeberl, Rasmus Bo Sørensen, and Jens Sparsø. Models of Communication for Multicore Processors.
      Proceedings of the 11th Workshop on Software Technologies for Embedded and Ubiquitous Systems (SEUS 2015), 44-51, April, 2015. (doi, pdf)

    11. Luca Pezzarossa, Martin Schoeberl, and Jens Sparsø. Towards Utilizing Reconfigurable Shared Resources in Multi-Core Hard Real-Time Systems.
      9th Junior Researcher Workshop on Real-Time Computing JRWRTC 2015, 21-24, 2015. (pdf)

    2014

    1. Martin Schoeberl, Andreas Engelbredt Dalsgaard, René Rydhof Hansen, Stephan E. Korsholm, Anders P. Ravn, Juan Ricardo Rios Rivas, T\'{o}rur Biskopstø Strøm, and Hans Søndergaard. Certifiable Java for Embedded Systems.
      Proceedings of the 12th International Workshop on Java Technologies for Real-Time and Embedded Systems (JTRES 2014), 10-19, October, 2014. (doi, pdf)

    2. Benedikt Huber, Stefan Hepp, and Martin Schoeberl. Scope-based Method Cache Analysis.
      Proceedings of the 14th International Workshop on Worst-Case Execution Time Analysis (WCET 2014), 73-82, July, 2014. (doi, pdf)

    3. Martin Schoeberl, David VH Chong, Wolfgang Puffitsch, and Jens Sparsø. A Time-predictable Memory Network-on-Chip.
      Proceedings of the 14th International Workshop on Worst-Case Execution Time Analysis (WCET 2014), 53-62, July, 2014. (doi, pdf)

    4. Jack Whitham and Martin Schoeberl. WCET-Based Comparison of an Instruction Scratchpad and a Method Cache.
      Proceedings of the 10th Workshop on Software Technologies for Embedded and Ubiquitous Systems (SEUS 2014), June, 2014. (doi, pdf)

    5. Juan Ricardo Rios and Martin Schoeberl. An Evaluation of Safety-Critical Java on a Java Processor.
      Proceedings of the 10th Workshop on Software Technologies for Embedded and Ubiquitous Systems (SEUS 2014), June, 2014. (doi, pdf)

    6. Philipp Degasperi, Stefan Hepp, Wolfgang Puffitsch, and Martin Schoeberl. A Method Cache for Patmos.
      Proceedings of the 17th IEEE Symposium on Object/Component/Service-oriented Real-time Distributed Computing (ISORC 2014), 100-108, June, 2014. (doi, pdf)

    7. Martin Schoeberl, Cláudio Silva, and André Rocha. T-CREST: A Time-predictable Multi-Core Platform for Aerospace Applications.
      Proceedings of Data Systems In Aerospace (DASIA 2014), June, 2014. (pdf)

    8. Juan Ricardo Rios and Martin Schoeberl. Reusable Libraries for Safety-Critical Java.
      Proceedings of the 17th IEEE Symposium on Object/Component/Service-oriented Real-time Distributed Computing (ISORC 2014), 188-197, June, 2014. (doi, pdf)

    2013

    1. Tórur Biskopstø Strøm, Wolfgang Puffitsch, and Martin Schoeberl. Chip-Multiprocessor Hardware Locks for Safety-Critical Java.
      Proceedings of the 11th International Workshop on Java Technologies for Real-Time and Embedded Systems (JTRES 2013), 38-46, October, 2013. (doi, pdf)

    2. Alexander Jordan, Florian Brandner, and Martin Schoeberl. Static Analysis of Worst-case Stack Cache Behavior.
      Proceedings of the 21st International Conference on Real-Time Networks and Systems (RTNS 2013), 55-64, Sophia Antipolis, France, 2013. (doi, pdf)

    3. Edgar Lakis and Martin Schoeberl. An SDRAM Controller for Real-Time Systems.
      Proceedings of the 9th Workshop on Software Technologies for Embedded and Ubiquitous Systems, 2013. (pdf)

    4. Jens Sparsø, Evangelia Kasapaki, and Martin Schoeberl. An Area-efficient Network Interface for a TDM-based Network-on-Chip.
      Proceedings of the Conference on Design, Automation and Test in Europe, 1044-1047, Grenoble, France, 2013. (pdf)

    5. Sahar Abbaspour, Florian Brandner, and Martin Schoeberl. A Time-predictable Stack Cache.
      Proceedings of the 9th Workshop on Software Technologies for Embedded and Ubiquitous Systems, 2013. (pdf)

    2012

    1. Rasmus Bo Sørensen, Martin Schoeberl, and Jens Sparsø. A Light-Weight Statically Scheduled Network-on-Chip.
      Proceedings of the 29th Norchip Conference, November, 2012. (pdf)

    2. Florian Brandner and Martin Schoeberl. Static Routing in Symmetric Real-Time Network-on-Chips.
      Proceedings of the 20th International Conference on Real-Time and Network Systems (RTNS 2012), 61-70, November, 2012. (doi, pdf)

    3. Wolfgang Puffitsch and Martin Schoeberl. On the Scalability of Time-predictable Chip-Multiprocessing.
      Proceedings of the 10th International Workshop on Java Technologies for Real-Time and Embedded Systems (JTRES 2012), 98-104, October, 2012. (doi, pdf)

    4. Andreas E. Dalsgaard, René Rydhof Hansen, and Martin Schoeberl. Private Memory Allocation Analysis for Safety-Critical Java.
      Proceedings of the 10th International Workshop on Java Technologies for Real-Time and Embedded Systems (JTRES 2012), 9-17, October, 2012. (doi, pdf)

    5. Juan Ricardo Rios, Kelvin Nilsen, and Martin Schoeberl. Patterns for Safety-Critical Java Memory Usage.
      Proceedings of the 10th International Workshop on Java Technologies for Real-Time and Embedded Systems (JTRES 2012), 1-8, October, 2012. (doi, pdf)

    6. Tórur Biskopstø Strøm and Martin Schoeberl. A Desktop 3D Printer in Safety-Critical Java.
      Proceedings of the 10th International Workshop on Java Technologies for Real-Time and Embedded Systems (JTRES 2012), 72-79, October, 2012. (doi, pdf)

    7. Martin Schoeberl and Juan Ricardo Rios. Safety-Critical Java on a Java Processor.
      Proceedings of the 10th International Workshop on Java Technologies for Real-Time and Embedded Systems (JTRES 2012), 54-61, October, 2012. (doi, pdf)

    8. Martin Schoeberl. Is Time Predictability Quantifiable?.
      International Conference on Embedded Computer Systems (SAMOS 2012), July, 2012. (pdf)

    9. Martin Schoeberl, Florian Brandner, Jens Sparsø, and Evangelia Kasapaki. A Statically Scheduled Time-Division-Multiplexed Network-on-Chip for Real-Time Systems.
      Proceedings of the 6th International Symposium on Networks-on-Chip (NOCS), 152-160, May, 2012. (doi, pdf)

    10. Juan Ricardo Rios and Martin Schoeberl. Hardware Support for Safety-Critical Java Scope Checks.
      Proceedings of the 15th IEEE International Symposium on Object/component/service-oriented Real-time distributed Computing (ISORC 2012), 31-38, April, 2012. (pdf)

    11. Stefan Hepp and Martin Schoeberl. Worst-Case Execution Time Based Optimization of Real-Time Java Programs.
      Proceedings of the 15th IEEE International Symposium on Object/component/service-oriented Real-time distributed Computing (ISORC 2012), 64-70, April, 2012. (pdf)

    2011

    1. Martin Schoeberl. Leros: A Tiny Microcontroller for FPGAs.
      Proceedings of the 21st International Conference on Field Programmable Logic and Applications (FPL 2011), 10-14, September, 2011. (pdf)

    2. James Caska and Martin Schoeberl. Java Dust: How Small Can Embedded Java Be?.
      Proceedings of the 9th International Workshop on Java Technologies for Real-Time and Embedded Systems (JTRES 2011), 125-129, September, 2011. (pdf)

    3. Fadi Meawad, Karthik Iyer, Martin Schoeberl, and Jan Vitek. Real-Time Wait-free Queues using Micro-Transactions.
      Proceedings of the 9th International Workshop on Java Technologies for Real-Time and Embedded Systems (JTRES 2011), 1-10, September, 2011. (pdf)

    4. Andy Wellings and Martin Schoeberl. User-Defined Clocks in the Real-Time Specification for Java.
      Proceedings of the 9th International Workshop on Java Technologies for Real-Time and Embedded Systems (JTRES 2011), 74-81, September, 2011. (pdf)

    5. Martin Schoeberl. Memory Management for Safety-Critical Java.
      Proceedings of the 9th International Workshop on Java Technologies for Real-Time and Embedded Systems (JTRES 2011), 47-53, September, 2011. (pdf)

    6. Martin Schoeberl. ejIP: A TCP/IP Stack for Embedded Java.
      Proceedings of the 9th International Conference on the Principles and Practice of Programming in Java (PPPJ 2011), August, 2011. (pdf)

    7. Aibek Sarimbekov, Andreas Sewe, Walter Binder, Philippe Moret, Martin Schoeberl, and Mira Mezini. Portable and Accurate Collection of Calling-Context-Sensitive Bytecode Metrics for the Java Virtual Machine.
      Proceedings of the 9th International Conference on the Principles and Practice of Programming in Java (PPPJ 2011), August, 2011. (pdf)

    8. Reinhard von Hanxleden, Niklas Holsti, Björn Lisper, Erhard Ploedereder, Reinhard Wilhelm, Armelle Bonenfant, Hugues Casse, Sven Bünte, Wolfgang Fellger, Sebastian Gepperth, Jan Gustafsson, Benedikt Huber, Nazrul Mohammad Islam, Daniel Kästner, Raimund Kirner, Laura Kovacs, Felix Krause, Marianne de Michiel, Mads Christian Olesen, Adrian Prantl, Wolfgang Puffitsch, Christine Rochange, Martin Schoeberl, Simon Wegener, Michael Zolda, and Jakob Zwirchmayr. WCET Tool Challenge 2011: Report.
      Proceedings of the 11th International Workshop on Worst-Case Execution Time (WCET) Analysis, July, 2011. (pdf)

    9. Christian Stoif, Martin Schoeberl, Benito Liccardi, and Jan Haase. Hardware Synchronization for Embedded Multi-Core Processors.
      Proceedings of the 2011 IEEE International Symposium on Circuits and Systems (ISCAS 2011), May, 2011. (pdf)

    10. Martin Schoeberl, Walter Binder, and Alex Villazon. Design Space Exploration of Object Caches with Cross-Profiling.
      Proceedings of the 14th IEEE International Symposium on Object/component/service-oriented Real-time distributed Computing (ISORC 2011), 213-221, March, 2011. (pdf)

    11. Martin Schoeberl, Pascal Schleuniger, Wolfgang Puffitsch, Florian Brandner, Christian W. Probst, Sven Karlsson, and Tommy Thorn. Towards a Time-predictable Dual-Issue Microprocessor: The Patmos Approach.
      First Workshop on Bringing Theory to Practice: Predictability and Performance in Embedded Systems (PPES 2011), 11-20, March, 2011. (pdf)

    12. Martin Schoeberl. A Time-predictable Object Cache.
      Proceedings of the 14th IEEE International Symposium on Object/component/service-oriented Real-time distributed Computing (ISORC 2011), 99-105, March, 2011. (pdf)

    2010

    1. Flavius Gruian and Martin Schoeberl. NoC-based CSP Support for a Java Chip Multiprocessor.
      Proceedings of the 28th Norchip Conference, November, 2010. (doi, pdf)

    2. Martin Schoeberl. Time-predictable Chip-Multiprocessor Design.
      Asilomar Conference on Signals, Systems, and Computers, November, 2010. (doi, pdf)

    3. Martin Schoeberl, Christopher Brooks, and Edward A. Lee. Code Generation for Embedded Java with Ptolemy.
      Proceedings of the 8th IFIP Workshop on Software Technologies for Future Embedded and Ubiquitous Systems (SEUS 2010), October, 2010. (pdf)

    4. Martin Schoeberl, Thomas B. Preusser, and Sascha Uhrig. The Embedded Java Benchmark Suite JemBench.
      Proceedings of the 8th International Workshop on Java Technologies for Real-Time and Embedded Systems (JTRES 2010), 120-127, Prague, Czech Republic, August, 2010. (doi, pdf)

    5. Martin Schoeberl and Peter Hilber. Design and Implementation of Real-Time Transactional Memory.
      Proceedings of the 20th International Conference on Field Programmable Logic and Applications (FPL 2010), 279-284, August, 2010. (doi, pdf)

    6. Martin Schoeberl, Florian Brandner, and Jan Vitek. RTTM: Real-Time Transactional Memory.
      Proceedings of the 25th ACM Symposium on Applied Computing (SAC 2010), 326-333, March, 2010. (doi, pdf)

    7. Rasmus Ulslev Pedersen and Martin Schoeberl. Object oriented machine learning with a multicore real-time Java processor: short paper.
      Proceedings of the 8th International Workshop on Java Technologies for Real-time and Embedded Systems (JTRES 2010), 76-78, Prague, Czech Republic, 2010. (doi, pdf)

    8. Tomas Kalibera, Pavel Parizek, Michal Malohlava, and Martin Schoeberl. Exhaustive testing of safety critical Java.
      Proceedings of the 8th International Workshop on Java Technologies for Real-time and Embedded Systems (JTRES 2010), 164-174, Prague, Czech Republic, 2010. (doi, pdf)

    9. Benedikt Huber, Wolfgang Puffitsch, and Martin Schoeberl. WCET Driven Design Space Exploration of an Object Cache.
      Proceedings of the 8th International Workshop on Java Technologies for Real-time and Embedded Systems (JTRES 2010), 26-35, Prague, Czech Republic, 2010. (doi, pdf)

    10. Anders P. Ravn and Martin Schoeberl. Cyclic executive for safety-critical Java on chip-multiprocessors.
      Proceedings of the 8th International Workshop on Java Technologies for Real-time and Embedded Systems (JTRES 2010), 63-69, Prague, Czech Republic, 2010. (doi, pdf)

    11. Wolfgang Puffitsch, Benedikt Huber, and Martin Schoeberl. Worst-Case Analysis of Heap Allocations.
      Proceedings of the 4th International Symposium On Leveraging Applications of Formal Methods, Verification and Validation (ISoLA 2010), 464-478, 2010. (pdf)

    2009

    1. Martin Schoeberl, Wolfgang Puffitsch, and Benedikt Huber. Towards Time-predictable Data Caches for Chip-Multiprocessors.
      Proceedings of the Seventh IFIP Workshop on Software Technologies for Future Embedded and Ubiquitous Systems (SEUS 2009), 180-191, November, 2009. (pdf)

    2. Martin Schoeberl, Peter Puschner, and Raimund Kirner. A Single-Path Chip-Multiprocessor System.
      Proceedings of the Seventh IFIP Workshop on Software Technologies for Future Embedded and Ubiquitous Systems (SEUS 2009), 47-57, November, 2009. (pdf)

    3. Rasmus Ulslev Pedersen and Martin Schoeberl. Educational Case Studies with an Open Source Embedded Real-Time Java Processor.
      Proceedings of the 2009 Workshop on Embedded Systems Education (WESE 2009), 71-77, Grenoble, France, October, 2009. (doi, pdf)

    4. Stephen A. Edwards, Sungjun Kim, Edward A. Lee, Isaac Liu, Hiren D. Patel, and Martin Schoeberl. A Disruptive Computer Design Idea: Architectures with Repeatable Timing.
      Proceedings of IEEE International Conference on Computer Design (ICCD 2009), October, 2009. (pdf)

    5. Martin Schoeberl, Peter Puschner, and Raimund Kirner. Single-Path Programming on a Chip-Multiprocessor System.
      Workshop on Reconciling Performance with Predictability (RePP), October, 2009. (pdf)

    6. Jack Whitham, Neil Audsley, and Martin Schoeberl. Using Hardware Methods to Improve Time-predictable Performance in Real-time Java Systems.
      Proceedings of the 7th International Workshop on Java Technologies for Real-time and Embedded Systems (JTRES 2009), 130-139, September, 2009. (doi, pdf)

    7. Philippe Moret, Walter Binder, Alex Villazon, Danilo Ansaloni, and Martin Schoeberl. Locating Performance Bottlenecks in Embedded Java Software with Calling-Context Cross-Profiling.
      Proceedings of the 6th International Conference on the Quantitative Evaluation of SysTems (QEST 2009), 107-108, September, 2009. (doi, pdf)

    8. Martin Schoeberl, Walter Binder, Philippe Moret, and Alex Villazon. Design Space Exploration for Java Processors with Cross-Profiling.
      Proceedings of the 6th International Conference on the Quantitative Evaluation of SysTems (QEST 2009), 109-118, September, 2009. (doi, pdf)

    9. Philippe Moret, Walter Binder, Martin Schoeberl, Alex Villazon, and Danilo Ansaloni. Analyzing Performance and Dynamic Behavior of Embedded Java Software with Calling-Context Cross-Profiling.
      Proceedings of the 7th International Conference on the Principles and Practice of Programming in Java (PPPJ 2009), 121-124, August, 2009. (doi, pdf)

    10. Martin Schoeberl and Peter Puschner. Is Chip-Multiprocessing the End of Real-Time Scheduling?.
      Proceedings of the 9th International Workshop on Worst-Case Execution Time (WCET) Analysis, July, 2009. (pdf)

    11. Benedikt Huber and Martin Schoeberl. Comparison of Implicit Path Enumeration and Model Checking based WCET Analysis.
      Proceedings of the 9th International Workshop on Worst-Case Execution Time (WCET) Analysis, 23-34, July, 2009. (pdf)

    12. Martin Schoeberl. Time-predictable Cache Organization.
      Proceedings of the First International Workshop on Software Technologies for Future Dependable Distributed Systems (STFSSD 2009), 11-16, March, 2009. (doi, pdf)

    13. Andy Wellings and Martin Schoeberl. Thread-local Scope Caching for Real-time Java.
      Proceedings of the 12th IEEE International Symposium on Object/component/service-oriented Real-time distributed Computing (ISORC 2009), 275-282, March, 2009. (doi, pdf)

    14. Thomas Henties, James J. Hunt, Doug Locke, Kelvin Nilsen, Martin Schoeberl, and Jan Vitek. Java for Safety-Critical Applications.
      2nd International Workshop on the Certification of Safety-Critical Software Controlled Systems (SafeCert 2009), March, 2009. (pdf)

    15. Florian Brandner, Tommy Thorn, and Martin Schoeberl. Embedded JIT Compilation with CACAO on YARI.
      Proceedings of the 12th IEEE International Symposium on Object/component/service-oriented Real-time distributed Computing (ISORC 2009), 63-70, March, 2009. (doi, pdf)

    16. Stephen A. Edwards, Sungjun Kim, Edward A. Lee, Hiren D. Patel, and Martin Schoeberl. Reconciling Repeatable Timing with Pipelining and Memory Hierarchy.
      Workshop on Reconciling Performance with Predictability (RePP), 2009.

    2008

    1. Walter Binder, Alex Villazon, Martin Schoeberl, and Philippe Moret. Cache-aware Cross-profiling for Java Processors.
      Proceedings of the 2008 international conference on Compilers, architecture, and synthesis for embedded systems (CASES 2008), 127-136, October, 2008. (doi, pdf)

    2. Walter Binder, Martin Schoeberl, Philippe Moret, and Alex Villazon. Cross-Profiling for Embedded Java Processors.
      Proceedings of the 5th International Conference on the Quantitative Evaluation of SysTems (QEST 2008), 287-296, September, 2008. (doi, pdf)

    3. Wolfgang Puffitsch and Martin Schoeberl. Non-Blocking Root Scanning for Real-Time Garbage Collection.
      Proceedings of the 6th International Workshop on Java Technologies for Real-time and Embedded Systems (JTRES 2008), 68-76, September, 2008. (doi, pdf)

    4. Martin Schoeberl and Wolfgang Puffitsch. Non-blocking Object Copy for Real-Time Garbage Collection.
      Proceedings of the 6th International Workshop on Java Technologies for Real-time and Embedded Systems (JTRES 2008), 77-84, September, 2008. (doi, pdf)

    5. Martin Schoeberl. Application Experiences with a Real-Time Java Processor.
      Proceedings of the 17th IFAC World Congress, 9320-9325, July, 2008. (pdf)

    6. Peter Puschner and Martin Schoeberl. On Composable System Timing, Task Timing, and WCET Analysis.
      Proceedings of the 8th International Workshop on Worst-Case Execution Time (WCET) Analysis, 91-101, July, 2008. (doi, pdf)

    7. Christof Pitter and Martin Schoeberl. Performance Evaluation of a Java Chip-Multiprocessor.
      Proceedings of the 3rd IEEE Symposium on Industrial Embedded Systems (SIES 2008), 34-42, June, 2008. (doi, pdf)

    8. Trevor Harmon, Martin Schoeberl, Raimund Kirner, and Raymond Klefstad. Toward Libraries for Real-time Java.
      Proceedings of the 11th IEEE International Symposium on Object/component/service-oriented Real-time distributed Computing (ISORC 2008), 458-462, May, 2008. (doi, pdf)

    9. Martin Schoeberl, Stephan Korsholm, Christian Thalinger, and Anders P. Ravn. Hardware Objects for Java.
      Proceedings of the 11th IEEE International Symposium on Object/component/service-oriented Real-time distributed Computing (ISORC 2008), 445-452, May, 2008. (doi, pdf)

    10. Stephan Korsholm, Martin Schoeberl, and Anders P. Ravn. Interrupt Handlers in Java.
      Proceedings of the 11th IEEE International Symposium on Object/component/service-oriented Real-time distributed Computing (ISORC 2008), 453-457, May, 2008. (doi, pdf)

    11. Trevor Harmon, Martin Schoeberl, Raimund Kirner, and Raymond Klefstad. A Modular Worst-case Execution Time Analysis Tool for Java Processors.
      Proceedings of the 14th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2008), 47-57, April, 2008. (doi, pdf)

    2007

    1. Martin Schoeberl. SimpCon - a Simple and Efficient SoC Interconnect.
      Proceedings of the 15th Austrian Workshop on Microelectronics, Austrochip 2007, October, 2007. (pdf)

    2. Christof Pitter and Martin Schoeberl. Towards a Java Multiprocessor.
      Proceedings of the 5th International Workshop on Java Technologies for Real-time and Embedded Systems (JTRES 2007), 144-151, September, 2007. (doi, pdf)

    3. Martin Schoeberl. Architecture for Object Oriented Programming Languages.
      Proceedings of the 5th International Workshop on Java Technologies for Real-time and Embedded Systems (JTRES 2007), 57-62, September, 2007. (doi, pdf)

    4. Martin Schoeberl and Jan Vitek. Garbage Collection for Safety Critical Java.
      Proceedings of the 5th International Workshop on Java Technologies for Real-time and Embedded Systems (JTRES 2007), 85-93, September, 2007. (doi, pdf)

    5. Wolfgang Puffitsch and Martin Schoeberl. picoJava-II in an FPGA.
      Proceedings of the 5th International Workshop on Java Technologies for Real-time and Embedded Systems (JTRES 2007), 213-221, September, 2007. (doi, pdf)

    6. Martin Schoeberl. A Time-Triggered Network-on-Chip.
      International Conference on Field-Programmable Logic and its Applications (FPL 2007), 377-382, August, 2007. (doi, pdf)

    7. Christof Pitter and Martin Schoeberl. Time Predictable CPU and DMA Shared Memory Access.
      International Conference on Field-Programmable Logic and its Applications (FPL 2007), 317-322, August, 2007. (doi, pdf)

    8. Raimund Kirner and Martin Schoeberl. Modeling the Function Cache for Worst-Case Execution Time Analysis.
      Proceedings of the 44rd Design Automation Conference (DAC 2007), 471-476, June, 2007. (doi, pdf)

    9. Martin Schoeberl, Hans Sondergaard, Bent Thomsen, and Anders P. Ravn. A Profile for Safety Critical Java.
      10th IEEE International Symposium on Object and Component-Oriented Real-Time Distributed Computing (ISORC'07), 94-101, May, 2007. (doi, pdf)

    10. Martin Schoeberl. Mission Modes for Safety Critical Java.
      Software Technologies for Embedded and Ubiquitous Systems, 5th {IFIP} {WG} 10.2 International Workshop (SEUS 2007), 105-113, May, 2007. (doi, pdf)

    2006

    1. Rasmus Pedersen and Martin Schoeberl. An Embedded Support Vector Machine.
      Proceedings of the Fourth Workshop on Intelligent Solutions in Embedded Systems (WISES 2006), 79-89, June, 2006. (pdf)

    2. Martin Schoeberl. Real-Time Garbage Collection for Java.
      Proceedings of the 9th IEEE International Symposium on Object and Component-Oriented Real-Time Distributed Computing (ISORC 2006), 424-432, April, 2006. (doi, pdf)

    3. Martin Schoeberl. A Time Predictable Java Processor.
      Proceedings of the Design, Automation and Test in Europe Conference (DATE 2006), 800-805, March, 2006. (pdf)

    4. Rasmus Pedersen and Martin Schoeberl. Exact Roots for a Real-Time Garbage Collector.
      Proceedings of the 4th International Workshop on Java Technologies for Real-time and Embedded Systems (JTRES 2006), 77-84, Paris, France, 2006. (doi, pdf)

    5. Martin Schoeberl and Rasmus Pedersen. WCET Analysis for a Java Processor.
      Proceedings of the 4th International Workshop on Java Technologies for Real-time and Embedded Systems (JTRES 2006), 202-211, Paris, France, 2006. (doi, pdf)

    2005

    1. Martin Schoeberl. Evaluation of a Java Processor.
      Tagungsband Austrochip 2005, 127-134, October, 2005. (pdf)

    2. Martin Schoeberl. Design and Implementation of an Efficient Stack Machine.
      Proceedings of the 12th IEEE Reconfigurable Architecture Workshop (RAW2005), April, 2005. (doi, pdf)

    3. Flavius Gruian, Per Andersson, Krzysztof Kuchcinski, and Martin Schoeberl. Automatic Generation of Application-Specific Systems Based on a Micro-programmed Java Core.
      Proceedings of the 20th ACM Symposium on Applied Computing, Embedded Systems track, 879-884, March, 2005. (doi, pdf)

    2004

    1. Martin Schoeberl. A Time Predictable Instruction Cache for a Java Processor.
      On the Move to Meaningful Internet Systems 2004: Workshop on {J}ava Technologies for Real-Time and Embedded Systems (JTRES 2004), 371-382, October, 2004. (doi, pdf)

    2. Martin Schoeberl. Design Rationale of a Processor Architecture for Predictable Real-Time Execution of Java Programs.
      Proceedings of the 10th International Conference on Real-Time and Embedded Computing Systems and Applications (RTCSA 2004), August, 2004. (pdf)

    3. Martin Schoeberl. Java Technology in an FPGA.
      Proceedings of the International Conference on Field-Programmable Logic and its Applications (FPL 2004), 917-921, August, 2004. (doi, pdf)

    4. Martin Schoeberl. Real-Time Scheduling on a Java Processor.
      Proceedings of the 10th International Conference on Real-Time and Embedded Computing Systems and Applications (RTCSA 2004), August, 2004. (pdf)

    5. Martin Schoeberl. Restrictions of Java for Embedded Real-Time Systems.
      Proceedings of the 7th IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2004), 93-100, May, 2004. (doi, pdf)

    2003

    1. Martin Schoeberl. JOP: A Java Optimized Processor.
      On the Move to Meaningful Internet Systems 2003: Workshop on {J}ava Technologies for Real-Time and Embedded Systems (JTRES 2003), 346-359, November, 2003. (doi, pdf)

    2. Martin Schoeberl. Design Decisions for a Java Processor.
      Tagungsband Austrochip 2003, 115-118, October, 2003. (pdf)

    3. Martin Schoeberl. Using a Java Optimized Processor in a Real World Application.
      Proceedings of the First Workshop on Intelligent Solutions in Embedded Systems (WISES 2003), 165-176, June, 2003. (pdf)

    Technical Reports

    1. Jack Whitham and Martin Schoeberl. The limits of TDMA based memory access scheduling.
      Technical Report YCS-2011-470, University of York, 2011. (pdf).

    2. Martin Schoeberl, Hiren D. Patel, and Edward A. Lee. Fun with a deadline instruction.
      Technical Report UCB/EECS-2009-149, EECS Department, University of California, Berkeley, Oct 2009. (pdf).

    3. Martin Schoeberl, Benedikt Huber, Walter Binder, Wolfgang Puffitsch, and Alex Villazon. Object cache evaluation. Technical report, Technical University of Denmark, 2010. (pdf)

    Patent

    1. Martin Schoeberl. Instruction Cache fuer Echtzeitsysteme,
      April 2006. Austrian patent AT 500.858.

    Funding

    Community Services

    Teaching (at TU Vienna and CBS)

    We also started to explore Wikiversity for the VSIS course and the CA Lab.